elhacker.net cabecera Bienvenido(a), Visitante. Por favor Ingresar o Registrarse
¿Perdiste tu email de activación?.

 

 


Tema destacado: (TUTORIAL) Aprende a emular Sentinel Dongle By Yapis


+  Foro de elhacker.net
|-+  Programación
| |-+  Programación General
| | |-+  duda con programa en VHDL
0 Usuarios y 1 Visitante están viendo este tema.
Páginas: [1] Ir Abajo Respuesta Imprimir
Autor Tema: duda con programa en VHDL  (Leído 1,680 veces)
EdgarKrieger

Desconectado Desconectado

Mensajes: 50


Necesito aprender mucho más


Ver Perfil
duda con programa en VHDL
« en: 16 Octubre 2014, 19:54 pm »

Buenas a todos, tengo una duda con respecto a la programación en VHDL, estoy haciendo un decodificador de hexadecimal a 7 segmentos el código es este:

Código
  1. --Decodificar de hexadecimal a 7 segmentos
  2. entity decodificador7 is
  3. port(aa,bb,cc,dd:in bit;
  4. a,b,c,d,e,f,g:out bit);
  5. end decodificador7;
  6.  
  7. architecture comportamental of decodificador7 is
  8. signal pepe: bit_vector(3 downto 0);
  9. signal sal: bit_vector(6 downto 0);
  10. begin
  11. process
  12. begin
  13. pepe<=aa & bb & cc & dd;
  14. case pepe is
  15. when "0000" => sal <= "0000000";
  16. when "0001" => sal <= "1100000";
  17. when "0010" => sal <= "1011011";
  18. when "0011" => sal <= "1110011";
  19. when "0100" => sal <= "1100101";
  20. when "0101" => sal <= "1011011";
  21. when "0110" => sal <= "0111111";
  22. when "0111" => sal <= "1100010";
  23. when "1000" => sal <= "1111111";
  24. when "1001" => sal <= "1100111";
  25. when "1010" => sal <= "1101111";
  26. when "1011" => sal <= "0111101";
  27. when "1100" => sal <= "0011110";
  28. when "1101" => sal <= "1111001";
  29. when "1110" => sal <= "0011111";
  30. when "1111" => sal <= "0001111";
  31. when others => sal <= "0000000";
  32. end case;
  33. end process;
  34. a<=sal(6);
  35. b<=sal(5);
  36. c<=sal(4);
  37. d<=sal(3);
  38. e<=sal(2);
  39. f<=sal(1);
  40. g<=sal(0);
  41. end comportamental;

pero al momento de compilar me manda el siguiente error:

Código
  1. Info: *******************************************************************
  2. Info: Running Quartus II 64-Bit Analysis & Synthesis
  3. Info: Version 14.0.0 Build 200 06/17/2014 SJ Web Edition
  4. Info: Processing started: Thu Oct 16 12:46:07 2014
  5. Info: Command: quartus_map --read_settings_files=on --write_settings_files=off decodificador7 -c decodificador7
  6. Info (11104): Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead.
  7. Info (12021): Found 2 design units, including 1 entities, in source file decodificador7.vhd
  8. Info (12022): Found design unit 1: decodificador7-comportamental
  9. Info (12023): Found entity 1: decodificador7
  10. Info (12127): Elaborating entity "decodificador7" for the top level hierarchy
  11. Error (10442): VHDL Process Statement error at decodificador7.vhd(33): Process Statement must contain either a sensitivity list or a Wait Statement
  12. Error (12153): Can't elaborate top-level user hierarchy
  13. Error: Quartus II 64-Bit Analysis & Synthesis was unsuccessful. 2 errors, 0 warnings
  14. Error: Peak virtual memory: 610 megabytes
  15. Error: Processing ended: Thu Oct 16 12:46:08 2014
  16. Error: Elapsed time: 00:00:01
  17. Error: Total CPU time (on all processors): 00:00:01
  18. Error (293001): Quartus II Full Compilation was unsuccessful. 4 errors, 0 warnings
  19.  

a que se debe este error :-( :-( y si alguien sabe como puedo corregirlo, muchas gracias por la ayuda  ;D


En línea

"Las matemáticas son el lenguaje, el idioma que utilizo Dios para escribir el mundo"
Galileo Galilei
Páginas: [1] Ir Arriba Respuesta Imprimir 

Ir a:  

Mensajes similares
Asunto Iniciado por Respuestas Vistas Último mensaje
Programacion en VHDL
Programación General
Fox_Neo 1 4,933 Último mensaje 10 Octubre 2013, 17:44 pm
por Fox_Neo
Active vhdl
Electrónica
Fox_Neo 0 2,303 Último mensaje 2 Febrero 2014, 10:51 am
por Fox_Neo
Ayuda VHDL
Programación General
grandanh 0 1,725 Último mensaje 21 Febrero 2014, 17:04 pm
por grandanh
VGA en VHDL
Foro Libre
joan.ayala 0 1,736 Último mensaje 23 Mayo 2014, 22:52 pm
por joan.ayala
Duda VHDL
Dudas Generales
04010 0 2,249 Último mensaje 19 Marzo 2016, 14:28 pm
por 04010
WAP2 - Aviso Legal - Powered by SMF 1.1.21 | SMF © 2006-2008, Simple Machines