elhacker.net cabecera Bienvenido(a), Visitante. Por favor Ingresar o Registrarse
¿Perdiste tu email de activación?.

 

 


Tema destacado: Usando Git para manipular el directorio de trabajo, el índice y commits (segunda parte)


+  Foro de elhacker.net
|-+  Informática
| |-+  Electrónica
| | |-+  Problema al diseñar comparador en vhdl
0 Usuarios y 1 Visitante están viendo este tema.
Páginas: [1] Ir Abajo Respuesta Imprimir
Autor Tema: Problema al diseñar comparador en vhdl  (Leído 3,250 veces)
eduvg

Desconectado Desconectado

Mensajes: 1


Ver Perfil
Problema al diseñar comparador en vhdl
« en: 12 Diciembre 2013, 19:44 pm »

Hola, tengo que diseñar un comparador en vhdl y tengo varias dudas acerca de unos errores que me dan:
En la parte de arquitectura tengo:
architecture comp8_arch of comp8 is
 begin
 mayor <= '0';
 menor <= '0';
 igual <= '0';
 _IF A > B then  mayor <= '1';
 elsif A < B then  menor <= '1';
 elsif A = B AND imayor='1' AND imenor='0' AND iigual='0' then mayor <= '1';
 elsif A = B AND imayor='0' AND imenor='1' AND iigual='0' then menor <= '1';
 _elsif A = B AND iigual = '1' then igual <= '1';
 _elsif A = B AND imayor = '0' AND imenor = '0' AND iigual = '0' then mayor <= '1' and menor <= '1';
end comp8_arch;

Me saltan errores en las lineas que empiezan con un guion bajo(obviamente el guión bajo no lo he puesto en el código, están de marcadores), pero imagino que habrá más cosas mal, A y B son buses de 4 bits, así que no se si el problema sera que no comparo bit a bit, lo puse así tras leer que era correcto pero no recuerdo la fuente ni si era fiable. Por si sirve de ayuda, este se supone que debería ser un comparador del tipo 74XX85.
Muchas gracias de antemano. Un saludo.


En línea

Fox_Neo

Desconectado Desconectado

Mensajes: 234



Ver Perfil
Re: Problema al diseñar comparador en vhdl
« Respuesta #1 en: 19 Diciembre 2013, 00:36 am »

Pero no está completo el código te falta la entidad.


En línea

Páginas: [1] Ir Arriba Respuesta Imprimir 

Ir a:  

Mensajes similares
Asunto Iniciado por Respuestas Vistas Último mensaje
Comparador de exes
Ingeniería Inversa
anjz 2 2,127 Último mensaje 16 Marzo 2006, 22:56 pm
por anjz
Programación en VHDL de un sistema
Electrónica
Carloslord 0 2,467 Último mensaje 22 Junio 2013, 20:38 pm
por Carloslord
Controlar registros de desplazamiento desde FPGA (VHDL)
Programación General
jrnavdom 0 2,281 Último mensaje 20 Septiembre 2013, 22:17 pm
por jrnavdom
Programacion en VHDL
Programación General
Fox_Neo 1 4,930 Último mensaje 10 Octubre 2013, 17:44 pm
por Fox_Neo
Active vhdl
Electrónica
Fox_Neo 0 2,299 Último mensaje 2 Febrero 2014, 10:51 am
por Fox_Neo
WAP2 - Aviso Legal - Powered by SMF 1.1.21 | SMF © 2006-2008, Simple Machines