elhacker.net cabecera Bienvenido(a), Visitante. Por favor Ingresar o Registrarse
¿Perdiste tu email de activación?.

 

 


Tema destacado: Estamos en la red social de Mastodon


  Mostrar Temas
Páginas: [1]
1  Informática / Electrónica / Divisor en VHDL en: 5 Junio 2014, 23:41 pm
Buenas noches, estoy empezando a programar en VHDL y quiero realizar una unidad aritmetico lógica. Ya he implementado las operaciones de suma, resta y multiplicación. Para la división la debo realizar en binario mediante un algoritmo similar a la división en decimal, basado en una serie de restas y desplazamientos. No se como implementarlo en lenguaje vhdl, se que se trata de una serie de restas y desplazamientos, pero no veo la forma de implementarla.
Espero que me podaís echar una mano.
Un saludo.

ALGORITMO



Casilda

Páginas: [1]
WAP2 - Aviso Legal - Powered by SMF 1.1.21 | SMF © 2006-2008, Simple Machines