elhacker.net cabecera Bienvenido(a), Visitante. Por favor Ingresar o Registrarse
¿Perdiste tu email de activación?.

 

 


Tema destacado: Los 10 CVE más críticos (peligrosos) de 2020


+  Foro de elhacker.net
|-+  Informática
| |-+  Electrónica
| | |-+  Divisor en VHDL
0 Usuarios y 1 Visitante están viendo este tema.
Páginas: [1] Ir Abajo Respuesta Imprimir
Autor Tema: Divisor en VHDL  (Leído 3,727 veces)
Casilda

Desconectado Desconectado

Mensajes: 1


Ver Perfil
Divisor en VHDL
« en: 5 Junio 2014, 23:41 pm »

Buenas noches, estoy empezando a programar en VHDL y quiero realizar una unidad aritmetico lógica. Ya he implementado las operaciones de suma, resta y multiplicación. Para la división la debo realizar en binario mediante un algoritmo similar a la división en decimal, basado en una serie de restas y desplazamientos. No se como implementarlo en lenguaje vhdl, se que se trata de una serie de restas y desplazamientos, pero no veo la forma de implementarla.
Espero que me podaís echar una mano.
Un saludo.

ALGORITMO



Casilda



« Última modificación: 6 Junio 2014, 13:27 pm por Casilda » En línea

Páginas: [1] Ir Arriba Respuesta Imprimir 

Ir a:  

Mensajes similares
Asunto Iniciado por Respuestas Vistas Último mensaje
divisor binario de arreglo, no funciona
Electrónica
loml666 0 2,155 Último mensaje 4 Agosto 2013, 18:48 pm
por loml666
VGA en VHDL
Foro Libre
joan.ayala 0 1,697 Último mensaje 23 Mayo 2014, 22:52 pm
por joan.ayala
mayor divisor primo
Programación C/C++
mariano96 2 1,797 Último mensaje 24 Febrero 2015, 02:01 am
por mariano96
Ayuda con un divisor de archivos
Programación C/C++
sabeeee 3 2,238 Último mensaje 31 Marzo 2015, 06:40 am
por crack81
Maximo común divisor c++
Programación C/C++
Laleylalo5 2 2,559 Último mensaje 31 Marzo 2017, 00:12 am
por ivancea96
WAP2 - Aviso Legal - Powered by SMF 1.1.21 | SMF © 2006-2008, Simple Machines