elhacker.net cabecera Bienvenido(a), Visitante. Por favor Ingresar o Registrarse
¿Perdiste tu email de activación?.

 

 


Tema destacado: Entrar al Canal Oficial Telegram de elhacker.net


  Mostrar Temas
Páginas: [1]
1  Informática / Electrónica / Multiplicador en VHDL en: 19 Marzo 2015, 00:47 am
Hola amigos . Lo que pasa es que estoy estancado en un proyecto, tengo que hacer un multiplicador de 8 bits, de dos números A y B, en VHDL pero sin usar la libreria numeric ó artm(aritmetica), solo puedo usar la 1164 y unsigned. Me han dicho que es con sumadores  pero la verdad no entiendo ni por donde comenzar.
Tampoco puedo usar nada que tenga que ver con lógica secuencial, = No CLK. :(
Si me pueden ayudar con mi código se los agradecería mucho :).
Páginas: [1]
WAP2 - Aviso Legal - Powered by SMF 1.1.21 | SMF © 2006-2008, Simple Machines