elhacker.net cabecera Bienvenido(a), Visitante. Por favor Ingresar o Registrarse
¿Perdiste tu email de activación?.

 

 


Tema destacado: Guía actualizada para evitar que un ransomware ataque tu empresa


  Mostrar Temas
Páginas: 1 [2] 3
11  Sistemas Operativos / GNU/Linux / ayuda con mysql en: 17 Julio 2016, 06:36 am
Buenas noches, la pregunta que traigo es como puedo hacer un odbc en mysql para poder hacer que funcione en java, estoy haciendo un proyecto en netbeans pero no se como hacer que el programa lea mi base de datos que hago en mysql.
  Me habían dicho que es con un odbc, pero en realidad no tengo la mas mínima idea de como hacerlo.

Muchas gracias por su ayuda.
12  Sistemas Operativos / GNU/Linux / Duda con terminal en: 28 Marzo 2016, 02:03 am
Buenas compañeros de el hacker, estoy haciendo unos programas de C++ en ubuntu y puedo compilar normal todo bien, pero cuando quiero ejecutar con
Código
  1. ./ a.out
me dice que
Código
  1. bash: ./: Es un directorio
osea como porque sucede esto, cabe aclarar que es la primera vez que me pasa ya llevo algo de tiempo trabajando con Ubuntu y hasta ahorita me salio este problema y la verdad es un poco cansado por que no puedo compilar nada.

De antemano muchas gracias por su ayuda.
13  Programación / Java / ayuda con long. en: 7 Marzo 2016, 19:49 pm
Buenas, la duda que tengo ahora es que, estoy haciendo un programa en java sobre funciones recursivas, muy parecido a la serie de fibonacci, pero al imprimir le 61 me sale el número requerido pero al meter el 62 me sale el numero limite del long, mi código es este:

Código
  1. package recurso;
  2. import java.util.Scanner;
  3. public class Recurso {
  4.    static long recurso(long n){
  5.       long A=0;
  6.       if(n==0 || n ==1)
  7.           return n;
  8.       else
  9.           A = (long)(recurso(n-1)+ Math.pow(2,n));
  10.       return A;
  11.    }
  12.    public static void main(String[] args) {
  13.        Scanner ent = new Scanner(System.in);
  14.        System.out.print("Numero: ");
  15.        long n = ent.nextLong();
  16.        System.out.println("Respuesta: "+recurso(n));
  17.    }
  18. }

y el resultado de 61 es:

Código
  1. run:
  2. Numero: 61
  3. Respuesta: 4611686018427387904
  4. BUILD SUCCESSFUL (total time: 2 seconds)

y el resultado de 62 es:

Código
  1. Numero: 62
  2. Respuesta: 9223372036854775807
  3. BUILD SUCCESSFUL (total time: 0 seconds)

y por añadir el 63 es:

Código
  1. run:
  2. Numero: 63
  3. Respuesta: 9223372036854775807
  4. BUILD SUCCESSFUL (total time: 2 seconds)
  5.  

ese es mi problema, no se que hacer para que imprima mas números mucho mas grandes, ayuda por favor.
14  Programación / Programación C/C++ / ayuda con getline en: 26 Febrero 2016, 01:24 am
Hola pues mi duda es porque me manda error cuando utilizo cin.getline(), apenas estoy aprendiendo a crear archivos y me estreso mucho cuando algo no sale jejejejejejejeje, ayuda por favor mi código es este:

Código
  1. #include <iostream>
  2. #include <fstream>
  3. using namespace std;
  4.  
  5. class Fichero{
  6.    ofstream Datos;
  7. public:
  8.    void Ingresar();
  9. };
  10.  
  11. void Fichero::Ingresar(){
  12.    this->Datos.open("Rojo.txt");
  13.    cout<<"Dame algunas frases."<<endl;
  14.    string f;
  15.    cin.getline(f,100);
  16.    Fichero >> f;
  17. }
  18.  
  19. int main(){
  20.    Fichero Edgar;
  21.    Edgar.Ingresar();
  22.    return 0;
  23. }
  24.  


y los errores que me manda son estos.

Código
  1. ||=== Build file: "no target" in "no project" (compiler: unknown) ===|
  2. C:\Users\Edgar\Desktop\ArchivosC++\Ejercicio6.1.cpp||In member function 'void Fichero::Ingresar()':|
  3. C:\Users\Edgar\Desktop\ArchivosC++\Ejercicio6.1.cpp|15|error: no matching function for call to 'std::basic_istream<char>::getline(std::string&, int)'|
  4. C:\Users\Edgar\Desktop\ArchivosC++\Ejercicio6.1.cpp|15|note: candidates are:|
  5. C:\Program Files (x86)\CodeBlocks\MinGW\lib\gcc\mingw32\4.9.2\include\c++\istream|618|note: std::basic_istream<_CharT, _Traits>& std::basic_istream<_CharT, _Traits>::getline(std::basic_istream<_CharT, _Traits>::char_type*, std::streamsize, std::basic_istream<_CharT, _Traits>::char_type) [with _CharT = char; _Traits = std::char_traits<char>; std::basic_istream<_CharT, _Traits>::char_type = char; std::streamsize = int]|
  6. C:\Program Files (x86)\CodeBlocks\MinGW\lib\gcc\mingw32\4.9.2\include\c++\istream|618|note:   candidate expects 3 arguments, 2 provided|
  7. C:\Program Files (x86)\CodeBlocks\MinGW\lib\gcc\mingw32\4.9.2\include\c++\istream|427|note: std::basic_istream<_CharT, _Traits>::__istream_type& std::basic_istream<_CharT, _Traits>::getline(std::basic_istream<_CharT, _Traits>::char_type*, std::streamsize) [with _CharT = char; _Traits = std::char_traits<char>; std::basic_istream<_CharT, _Traits>::__istream_type = std::basic_istream<char>; std::basic_istream<_CharT, _Traits>::char_type = char; std::streamsize = int]|
  8. C:\Program Files (x86)\CodeBlocks\MinGW\lib\gcc\mingw32\4.9.2\include\c++\istream|427|note:   no known conversion for argument 1 from 'std::string {aka std::basic_string<char>}' to 'std::basic_istream<char>::char_type* {aka char*}'|
  9. C:\Users\Edgar\Desktop\ArchivosC++\Ejercicio6.1.cpp|16|error: expected unqualified-id before '>>' token|
  10. ||=== Build failed: 2 error(s), 0 warning(s) (0 minute(s), 0 second(s)) ===|
  11.  


ayuda por favor, ya me duele la cabeza jajajajaaajjaa
15  Sistemas Operativos / GNU/Linux / Problema con ethernet en: 2 Febrero 2016, 15:25 pm
Buenas a todos, de nuevo vengo a molestarlos para ver si me pueden ayudar lo que pasa es que tengo ubuntu 14.04 y hasta ayer a las 11:40 tenia internet en mi PC de escritorio y ahora en la mañana ya no me detecta el cable ethernet y le doy al comando ifconfig y no me sale nada cuando antes me salia la configuración del modem y del ethernet, a que se debera eso y si tiene alguna solución.

Gracias por su ayuda.
16  Programación / Programación C/C++ / Duda con programa en C++ en: 28 Enero 2016, 03:00 am
Buenas noches compañeros del elHacker, tengo una pregunta lo que pasa es que estoy apenas aprendiendo a utilizar ficheros en C++, ya se crear un archivo .txt pero cuando quiero que me imprima lo que tiene escrito el .txt me sale todo en una misma linea, mi duda es ¿Como puedo poner un salto de linea para que se vea distribuido lo que dice?.

El código es este.

Código
  1. #include <iostream>
  2. #include <fstream>
  3. using namespace std;
  4.  
  5. int main()
  6. {
  7.    ofstream f("hola.txt");
  8.    ifstream g;
  9.    string msg;
  10.    f << "hola_mundo_desde_archivo";
  11.    f << "Prueba_de_archivo";
  12.    f.close();
  13.    g.open("hola.txt");
  14.    g >> msg;
  15.    cout << msg;
  16. }

La salida que me da es esta:

Código
  1. hola_mundo_desde_archivoPrueba_de_archivo

ayuda por favor y digo estoy utilizando la terminal de ubuntu.
17  Seguridad Informática / Hacking / ingeniería social en: 17 Mayo 2015, 21:58 pm
Buenas chavos, hago este tema para preguntar si alguien sabe algo de ingeniería social y si es posible aprenderlo o no se alguien que me explique bien, muchas gracias.......
18  Sistemas Operativos / GNU/Linux / Duda con VirtualBox en Ubuntu en: 22 Abril 2015, 18:19 pm
Hola a todos, la pregunta que tengo es que acabo de instalar VirtualBox en Ubuntu y no se como instalar los drivers, instale Windows 7 de 32bits alguien que me ayude por favor, se lo agradeceré mucho. ;D
19  Programación / Programación General / duda con programa en VHDL en: 16 Octubre 2014, 19:54 pm
Buenas a todos, tengo una duda con respecto a la programación en VHDL, estoy haciendo un decodificador de hexadecimal a 7 segmentos el código es este:

Código
  1. --Decodificar de hexadecimal a 7 segmentos
  2. entity decodificador7 is
  3. port(aa,bb,cc,dd:in bit;
  4. a,b,c,d,e,f,g:out bit);
  5. end decodificador7;
  6.  
  7. architecture comportamental of decodificador7 is
  8. signal pepe: bit_vector(3 downto 0);
  9. signal sal: bit_vector(6 downto 0);
  10. begin
  11. process
  12. begin
  13. pepe<=aa & bb & cc & dd;
  14. case pepe is
  15. when "0000" => sal <= "0000000";
  16. when "0001" => sal <= "1100000";
  17. when "0010" => sal <= "1011011";
  18. when "0011" => sal <= "1110011";
  19. when "0100" => sal <= "1100101";
  20. when "0101" => sal <= "1011011";
  21. when "0110" => sal <= "0111111";
  22. when "0111" => sal <= "1100010";
  23. when "1000" => sal <= "1111111";
  24. when "1001" => sal <= "1100111";
  25. when "1010" => sal <= "1101111";
  26. when "1011" => sal <= "0111101";
  27. when "1100" => sal <= "0011110";
  28. when "1101" => sal <= "1111001";
  29. when "1110" => sal <= "0011111";
  30. when "1111" => sal <= "0001111";
  31. when others => sal <= "0000000";
  32. end case;
  33. end process;
  34. a<=sal(6);
  35. b<=sal(5);
  36. c<=sal(4);
  37. d<=sal(3);
  38. e<=sal(2);
  39. f<=sal(1);
  40. g<=sal(0);
  41. end comportamental;

pero al momento de compilar me manda el siguiente error:

Código
  1. Info: *******************************************************************
  2. Info: Running Quartus II 64-Bit Analysis & Synthesis
  3. Info: Version 14.0.0 Build 200 06/17/2014 SJ Web Edition
  4. Info: Processing started: Thu Oct 16 12:46:07 2014
  5. Info: Command: quartus_map --read_settings_files=on --write_settings_files=off decodificador7 -c decodificador7
  6. Info (11104): Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead.
  7. Info (12021): Found 2 design units, including 1 entities, in source file decodificador7.vhd
  8. Info (12022): Found design unit 1: decodificador7-comportamental
  9. Info (12023): Found entity 1: decodificador7
  10. Info (12127): Elaborating entity "decodificador7" for the top level hierarchy
  11. Error (10442): VHDL Process Statement error at decodificador7.vhd(33): Process Statement must contain either a sensitivity list or a Wait Statement
  12. Error (12153): Can't elaborate top-level user hierarchy
  13. Error: Quartus II 64-Bit Analysis & Synthesis was unsuccessful. 2 errors, 0 warnings
  14. Error: Peak virtual memory: 610 megabytes
  15. Error: Processing ended: Thu Oct 16 12:46:08 2014
  16. Error: Elapsed time: 00:00:01
  17. Error: Total CPU time (on all processors): 00:00:01
  18. Error (293001): Quartus II Full Compilation was unsuccessful. 4 errors, 0 warnings
  19.  

a que se debe este error :-( :-( y si alguien sabe como puedo corregirlo, muchas gracias por la ayuda  ;D
20  Informática / Hardware / Disco duro no aparece AYUDA!!! en: 23 Julio 2014, 20:01 pm
Hola a todos, tengo un problema con un disco duro, lo que pasa es que no me reconoce mi disco duro y ahí tengo muchas cosas importantes  para mi como puedo hacer para montar de nuevo mi disco duro(que me vuelva a reconocer el disco), aviso que ni me aparece el disco duro en Equipo de Windows 7 solamente me aparece el C, alguien que me ayude por favor, recordando que mi disco duro es SATA2.....
Páginas: 1 [2] 3
WAP2 - Aviso Legal - Powered by SMF 1.1.21 | SMF © 2006-2008, Simple Machines