elhacker.net cabecera Bienvenido(a), Visitante. Por favor Ingresar o Registrarse
¿Perdiste tu email de activación?.

 

 


Tema destacado: Introducción a la Factorización De Semiprimos (RSA)


  Mostrar Mensajes
Páginas: 1 2 3 [4] 5 6
31  Media / Diseño Gráfico / Re: Tutorial: [Soldar Letras] en: 29 Julio 2006, 05:40 am
hola Nobita y compañia,

muy buenos tutoriales... k has puesto!! tambien la forma de reunirla en un post ahora mismo estoy chekanod uno por uno, y pues me has dejado impresionado.

weno tengo unas dudillas, de varios minirutoriales.  que tu has echo.
bueno ahora mis dudas son:



aki como le hiciste para agregar el fondo de color negro con el destello en el centro  ???

lo que segun yo veo es que agrego una nueva capa a la que le puso color negro de fondo y despues creo un destello y lo posiciono en el centro, para sacar el destello si no estoy mal es filtro-> interpretar->destello

32  Media / Diseño Gráfico / Re: Pasto en Photoshop en: 6 Julio 2006, 02:55 am
simplemente... photoshop es mi nuevo dios...

es grandioso este programa.

gracias por el tutorial
33  Media / Diseño Gráfico / Re: Photoshop, pero, que versión? en: 6 Julio 2006, 02:53 am
Hola, pues mira tio, el Photoshop no es el mismo paint en cuanto a hacer rayitas se refiere ;D ;D , es un poquito mas de trabajo, pero una vez le coges el hilo es facil; yo te recomiendo el Photoshop 8 Cs (ya trae Imageready que es para el cuento de las animaciones),

photoshop 7 tambien trae image ready...
bueno al menos con mi CD de photoshop 7 si lo trae..

y la verdad el que mas me ha gustado es el photoshop CS2, pero te recomiendo primero revises que hardware tiene tu compu porque si es algo lenta no corre el CS2... es algo exigente con el hardware
34  Media / Diseño Gráfico / Re: Camiseta linux o el hacker en: 6 Julio 2006, 02:49 am
yo quiero una...

pero si mandan una sola camisa a México xDD

si lo hacen y sin costo extra pidanme una y no es broma
35  Media / Diseño Gráfico / Re: iPortfolio (portafolios estilo iPod xD) en: 11 Mayo 2006, 18:58 pm
esta muy bien el diseño. pero no sientes que la botonera (inicio, portafolios,etc) se pierde un poco... al menos esa es mi perspectiva, siento que tal ves el gris que tiene la fuente puede ser un poco mas fuerte.

pero de ahi en fuera la página me gusto.
36  Informática / Electrónica / duda contador 0-99 con Xilinx en: 10 Mayo 2006, 15:50 pm
hola, lo que pasa es que tengo que implementar en una tarjeta de Xinix un contador de 0 a 99 pero no me sale porque al habilitar cada uno de los display para mandar la señal tengo en los 4 display el mismo numero, entonces tan solo quiero habilitar 2 display para que cuenten del 0 al 99 pero no me sale.

alguien puede ayudarme. El codigo que llevo es el sig.

Código:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity cotador is
    Port ( reloj : in std_logic;
           reset : in std_logic;
           habent : in std_logic;
           habsal : out std_logic;
         cuentamax: in std_logic_vector (3 downto 0);
           selec, selec1:in std_logic;
  anodo, anodo1:out std_logic;
  salida, salida1 : out std_logic_vector (6 downto 0));
end cotador;

architecture Behavioral of cotador is
 signal clock:std_logic;
 signal count, count2 :std_logic_vector (3 downto 0);
 begin

process(reloj)
   variable conta : integer range 0 to 50000000 :=0;
     begin
        if reloj = '1' and reloj'event then
            if conta =50000000 then
conta :=0;
clock <='1';
else
conta:=conta+1;
clock<='0';
end if;
  end if;
 end process; 




   process (cuentamax, clock, reset)
     variable cuenta: std_logic_vector (3 downto 0):="0000";
      begin
         if reset = '1' then
          count<="0000";
            elsif clock='1' and clock'event then
               if habent='0' then
                 if count = cuentamax then
                    count<="0000";
                 else
                     count<=count+1;
                 end if;
if count=cuentamax then
    count2<=count2+1;
               else
    if count2= cuentamax then
count2<="0000";
end if;
               end if;


                end if;
          end if;


   
   
   if count=cuentamax and habent = '0' and clock = '1' then
     habsal<='0';
      else
        habsal<='1';
 
end if;

  end process;

with count select
salida<="1111001" when "0001",
  "0100100" when "0010",
  "0110000" when "0011",
  "0011001" when "0100",
  "0010010" when "0101",
  "0000010" when "0110",
  "1111000" when "0111",
  "0000000" when "1000",
  "0010000" when "1001",
  "1000000" when others;
   

anodo<=selec;
 with count2 select
salida1<="1111001" when "0001",
  "0100100" when "0010",
  "0110000" when "0011",
  "0011001" when "0100",
  "0010010" when "0101",
  "0000010" when "0110",  
  "1111000" when "0111",
  "0000000" when "1000",
  "0010000" when "1001",
  "1000000" when others;
anodo1<=selec.-;

end Behavioral;



Ojala alguien pueda ayudarme... gracias.
37  Seguridad Informática / Desafíos - Wargames / Re: Notpron... Sufrir xD en: 10 Abril 2006, 05:43 am
fijate abajo en la página hay 8 números de 3 cifras cada uno; son dos palabras de 4 letras uno es la pass y otro el usr, peroe stá en negro asi que lo tenes que seleccionarlo para verlo; luego acomodá las palabras: te queda:
kill
hour
eso es.  ;D
saludos  ;D
no pongan respuestas... mejor que cada uno piense y si tenemos dudas entre todos demos pistas...  pero no respuestas, al menos esa es mi opinion

pd. toy en el nivel 7

pd2. cuantos niveles son en total, alguien sabe?
38  Foros Generales / Foro Libre / Re: Sus escritorios en: 26 Marzo 2006, 19:24 pm
hola, viendo algunas paginas del post me ha llamado la atencion que algunos tienen un estilo diferente en el menu de inicio como este http://img40.exs.cx/img40/1905/escritoriofabi.jpg alguien podria explicar como cambiarlo? gracias

ese que pones es un tema que viene con style XP.
39  Media / Multimedia / Re: quiero escuchar musica en mp3 en: 12 Marzo 2006, 20:46 pm
en que formato tienes los archivos que quieres pasar a MP3... porque puedes ocupar cualquier convertidor... en google puedes encontrarlos...

40  Informática / Electrónica / Re: Workbench 8 multisim(= Descarga Directa and Workbench 9 full + Crack en DD en: 5 Marzo 2006, 03:33 am
mmmmm

no sería mejor que en 2 semanas hubieras puesto este tema... ??
Páginas: 1 2 3 [4] 5 6
WAP2 - Aviso Legal - Powered by SMF 1.1.21 | SMF © 2006-2008, Simple Machines