Foro de elhacker.net

Informática => Electrónica => Mensaje iniciado por: SGTheDreamer en 19 Marzo 2015, 00:47 am



Título: Multiplicador en VHDL
Publicado por: SGTheDreamer en 19 Marzo 2015, 00:47 am
Hola amigos . Lo que pasa es que estoy estancado en un proyecto, tengo que hacer un multiplicador de 8 bits, de dos números A y B, en VHDL pero sin usar la libreria numeric ó artm(aritmetica), solo puedo usar la 1164 y unsigned. Me han dicho que es con sumadores  pero la verdad no entiendo ni por donde comenzar.
Tampoco puedo usar nada que tenga que ver con lógica secuencial, = No CLK. :(
Si me pueden ayudar con mi código se los agradecería mucho :).


Título: Re: Multiplicador en VHDL
Publicado por: kondrag_X1 en 29 Marzo 2015, 21:24 pm
HOLA,

Te comento yo lo resolví con el algoritmo lapiz y papel.

échale un ojo

https://www.uclm.es/profesorado/licesio/Docencia/ETC/23_1_MultiplicadoresDivisores.pdf

Saludos.