Foro de elhacker.net

Informática => Electrónica => Mensaje iniciado por: Casilda en 5 Junio 2014, 23:41 pm



Título: Divisor en VHDL
Publicado por: Casilda en 5 Junio 2014, 23:41 pm
Buenas noches, estoy empezando a programar en VHDL y quiero realizar una unidad aritmetico lógica. Ya he implementado las operaciones de suma, resta y multiplicación. Para la división la debo realizar en binario mediante un algoritmo similar a la división en decimal, basado en una serie de restas y desplazamientos. No se como implementarlo en lenguaje vhdl, se que se trata de una serie de restas y desplazamientos, pero no veo la forma de implementarla.
Espero que me podaís echar una mano.
Un saludo.

ALGORITMO

(http://i.imgur.com/dA29NH5.jpg?1)

Casilda