elhacker.net cabecera Bienvenido(a), Visitante. Por favor Ingresar o Registrarse
¿Perdiste tu email de activación?.

 

 


Tema destacado: Guía actualizada para evitar que un ransomware ataque tu empresa


+  Foro de elhacker.net
|-+  Informática
| |-+  Electrónica
| | |-+  Contador 59 segundos en VHDL
0 Usuarios y 1 Visitante están viendo este tema.
Páginas: [1] Ir Abajo Respuesta Imprimir
Autor Tema: Contador 59 segundos en VHDL  (Leído 7,991 veces)
Nicolau

Desconectado Desconectado

Mensajes: 1


Ver Perfil
Contador 59 segundos en VHDL
« en: 29 Octubre 2022, 11:57 am »

Hola,
He hecho este contador de 59 segundos, lo comprobé en la placa y me funciona.
El problema es que al profesor no le ha gustado como he hecho el process y me ha dicho que no puedo usar ni if ni else.  Alguien me puede dar una pista de como podría hacerlo sin los ifs y elses? Gracias de antemano!

entity counter is
    Port ( Clk: in  bit;
           reset: in bit;
           interuptor:in bit;
           sortida_Segons:out bit;
           Qout : out  std_logic_vector (5 downto 0));
          
end counter;

architecture Behavioral of counter is

signal actual, seguent:   unsigned (5 downto 0);
begin
    Qout <= std_logic_vector (actual);
    process(Clk, reset)
    begin
       if (reset='1') then
                actual <= "000000";
            elsif (Clk'event and Clk='1') then
      actual <= seguent;
        end if;
    end process;                   

    process(actual,interuptor)
    begin
    if (interuptor ='1') then
      if (actual < 59 ) then
      sortida_Segons<='0';
      seguent <= actual + 1;
    else if (actual > 59) then
      seguent <= "000000";
      sortida_Segons<='1';
    end if;
         end if;
    else
    seguent <= actual;
   
   end if;
   end process;

end Behavioral;


En línea

Meta


Desconectado Desconectado

Mensajes: 3.438



Ver Perfil WWW
Re: Contador 59 segundos en VHDL
« Respuesta #1 en: 21 Enero 2023, 19:58 pm »

Hola:



Saludos.


En línea

Páginas: [1] Ir Arriba Respuesta Imprimir 

Ir a:  

Mensajes similares
Asunto Iniciado por Respuestas Vistas Último mensaje
contador en segundos? timer?
Programación Visual Basic
markx 9 2,374 Último mensaje 3 Septiembre 2007, 05:07 am
por HaDeS, -
Contador de segundos en C « 1 2 »
Programación C/C++
soser 11 20,679 Último mensaje 3 Octubre 2010, 02:29 am
por Littlehorse
VHDL
Electrónica
ukol 6 11,255 Último mensaje 7 Enero 2019, 01:30 am
por mochilera
VGA en VHDL
Foro Libre
joan.ayala 0 1,729 Último mensaje 23 Mayo 2014, 22:52 pm
por joan.ayala
problema con contador que toma segundos del sistema
ASM
FERNIIIIN 3 2,049 Último mensaje 28 Mayo 2019, 23:39 pm
por xv0
WAP2 - Aviso Legal - Powered by SMF 1.1.21 | SMF © 2006-2008, Simple Machines