elhacker.net cabecera Bienvenido(a), Visitante. Por favor Ingresar o Registrarse
¿Perdiste tu email de activación?.

 

 


Tema destacado: AIO elhacker.NET 2021 Compilación herramientas análisis y desinfección malware


  Mostrar Temas
Páginas: [1]
1  Informática / Electrónica / VHDL en: 14 Marzo 2017, 19:20 pm
Hola:

Tengo que sumar dos vectores de 16 bits en VHDL y mi salida tiene que ser de 16 bits también. El problema está en que en algunos casos la suma de dos vectores de 16 bits dan un resultado de 17 bits. ¿Cómo puedo arreglar eso?

Un saludo
Páginas: [1]
WAP2 - Aviso Legal - Powered by SMF 1.1.21 | SMF © 2006-2008, Simple Machines